Differences
This shows you the differences between two versions of the page.
Both sides previous revision Previous revision Next revision | Previous revision | ||
dt-code-sequ [2014/01/08 10:58] beckmanf flipflop process -> concurrent |
dt-code-sequ [2014/01/08 11:02] (current) beckmanf flipflop process -> concurrent |
||
---|---|---|---|
Line 69: | Line 69: | ||
begin | begin | ||
- | ff_p : process(clk, reset_n) | + | q <= '0' when reset_n = '0' else new_q when rising_edge(clk); |
- | begin | + | |
- | if reset_n = '0' then | + | |
- | q <= '0'; | + | |
- | elsif rising_edge(clk) then | + | |
- | q <= new_q; | + | |
- | end if; | + | |
- | end process ff_p; | + | |
new_q <= not q; | new_q <= not q; | ||
- | end; | + | end architecture rtl; |
</code> | </code> |